oda_bg

samfurori

Abubuwan da suka dace na Lantarki) 5V927PGGI8

taƙaitaccen bayanin:


Cikakken Bayani

Tags samfurin

Halayen Samfur

TYPE BAYANI
Kashi Haɗin kai (ICs)

Agogo/Lokaci

Agogo Generators, PLLs, Frequency Synthesizers

Mfr Abubuwan da aka bayar na Renesas Electronics America Inc
Jerin -
Kunshin Tape & Reel (TR)
Matsayin samfur Wanda ya ƙare
Nau'in Agogo Generator
PLL Ee tare da Bypass
Shigarwa LVTTL, Crystal
Fitowa LVTTL
Adadin da'irori 1
Rabo - Shigarwa: Fitarwa 2:4
Daban-daban - Shigarwa: fitarwa A'a/A'a
Mitar - Max 160 MHz
Mai Rarraba/Mai yawa Ee/A'a
Voltage - wadata 3V ~ 3.6V
Yanayin Aiki -40°C ~ 85°C
Nau'in hawa Dutsen Surface
Kunshin / Case 16-TSSOP (0.173 ″, Nisa 4.40mm)
Kunshin Na'urar Mai bayarwa 16-TSSOP
Lambar Samfurin Tushen Saukewa: IDT5V927

Takardu & Mai jarida

NAU'IN ARZIKI MAHADI
Takardar bayanai Saukewa: IDT5V927
PCN Ƙarshe / EOL Gyaran baya 23/Dec/2013

Na'urori da yawa 28/Oct/2013

HTML Datasheet Saukewa: IDT5V927

Rarraba Muhalli & Fitarwa

SANARWA BAYANI
Matsayin Ji daɗin Danshi (MSL) 1 (Unlimited)
Matsayin ISAR KASANCEWA Ba Ya Shafe
ECN EAR99
HTSUS 8542.39.0001

Ƙarin Albarkatu

SANARWA BAYANI
Wasu Sunayen 5V927PGGI8
Daidaitaccen Kunshin 4,000

Cikakken Bayani
24-BIT DIGITAL SIGNAL PROCESSOR

Motorola DSP56307, memba na dangin DSP56300 na na'urori masu sarrafa siginar dijital (DSPs), suna goyan bayan aikace-aikacen kayan more rayuwa mara waya tare da ayyukan tacewa gabaɗaya.The on-chip ingantattun tace coprocessor (EFCOP) tafiyar matakai tace algorithms a layi daya tare da ainihin aiki, don haka yana haɓaka aikin DSP gabaɗaya da inganci.Kamar sauran 'yan uwa, DSP56307 yana amfani da ingin aiki mai girma, agogo-ɗaya-da-kowace koyarwa (lambar da ta dace da Motorolas sanannen DSP56000 core iyali), mai canza ganga, adireshin 24-bit, cache umarni, da mai kula da ƙwaƙwalwar ajiyar ƙwaƙwalwar ajiyar kai tsaye, kamar yadda yake a cikin Hoto 1. DSP56307 yana ba da aiki a umarni na 100 miliyan (MIPS) a sakan daya ta amfani da agogon 100 MHz na ciki tare da 2.5 volt core da mai zaman kanta 3.3 volt shigarwa / fitarwa ikon.

Dubawa
Yin amfani da tsarin gine-gine na ginshiƙi na ƙarni na biyu ASMBL (Advanced Silicon Modular Block), XC5VLX330T-3FFG1738I ya ƙunshi rukunoni daban-daban guda biyar (ƙananan iyalai), zaɓin da kowane dangin FPGA ke bayarwa.Kowane dandali yana ƙunshe da nau'ikan fasali daban-daban don magance buƙatun nau'ikan ƙirar dabaru iri-iri.Baya ga mafi girman ci gaba, masana'anta dabaru masu inganci, XC5VLX330T-3FFG1738I FPGAs sun ƙunshi yawancin matakan tsarin tsarin IP mai ƙarfi, gami da 36-Kbit toshe RAM / FIFOs mai ƙarfi, ƙarni na biyu 25 x 18 DSP yanka, Zaɓi fasahar IO tare da ginannun- a cikin impedance mai sarrafa dijital, Chip Sync tushen-daidaitacce tubalan dubawa, aikin saka idanu na tsarin,

SIFFOFI
Babban Ayyuka DSP56300 Core
● Umarni miliyan 100 a sakan daya (MIPS) tare da agogon 100 MHz a core 2.5 V da 3.3 VI/O
● Lambar abu mai jituwa tare da ainihin DSP56000
● Saitin umarni daidai gwargwado
● Naúrar ilimin lissafi (ALU)
- Cikakken bututun 24 x 24-bit daidaitaccen mai tarawa mai yawa
- 56-bit mai jujjuya ganga mai kama da juna (sauri mai sauri da daidaitawa; haɓakar rafi da fasinja)
- Umarnin ALU na sharadi
- Tallafin lissafin 24-bit ko 16-bit ƙarƙashin sarrafa software
● Naúrar sarrafa shirin (PCU)
- Matsayi mai zaman kanta lambar tallafi (PIC).
- Hanyoyin magancewa waɗanda aka inganta don aikace-aikacen DSP (ciki har da abubuwan biya nan da nan)
- Mai sarrafa cache umarni akan guntu
- Kan-chip memori-faɗaɗɗen kayan masarufi
- Kayan aikin gida DO madaukai
- Mai saurin dawowa ta atomatik yana katsewa
● Samun damar ƙwaƙwalwar ajiya kai tsaye (DMA)
- Tashoshin DMA shida masu goyan bayan shiga ciki da waje
- Canja wuri ɗaya-, biyu-, da uku (ciki har da buffering madauwari)
- Ƙarshen toshe-canja wurin
- Haɗawa daga layukan katsewa da duk abubuwan haɗin gwiwa
● Madaidaicin kulle-kulle (PLL)
- Yana ba da damar canza ƙarancin wutar lantarki (DF) ba tare da asarar kulle ba
- Agogon fitarwa tare da kawar da skew
● Goyan bayan gyara kayan aiki
- On-Chip Emulation (Akan CE ) module
- Ƙungiyar gwajin haɗin gwiwa (JTAG) tashar shiga gwajin (TAP)
- Yanayin gano adireshin yana nuna damar shiga cikin shirin RAM a tashar jiragen ruwa na waje


  • Na baya:
  • Na gaba:

  • Ku rubuta sakonku anan ku aiko mana